Sim, os Strix Point mal tão disponíveis pra venda, e só se acha em modelos bem caros, intel vai seguir ganhando em mobile pelo fator de inércia e oferecerem suporte ótimo pras fabricantes projetarem os notebooks.
Mas já tamo vendo a adoção de notebooks aumentando com a AMD, e me pergunto se os lunar lake não vão piorar mais ainda a situação.
Falando em modelos caros, esse Asus ProArt PX13 tá simplesmente perfeito, quase um Macbook Pro killer. Seria impossível fazer algo similar usando intel, hoje.
Falando em modelos caros, esse Asus ProArt PX13 tá simplesmente perfeito, quase um Macbook Pro killer. Seria impossível fazer algo similar usando intel, hoje.
Sim, os Strix Point mal tão disponíveis pra venda, e só se acha em modelos bem caros, intel vai seguir ganhando em mobile pelo fator de inércia e oferecerem suporte ótimo pras fabricantes projetarem os notebooks.
Mas já tamo vendo a adoção de notebooks aumentando com a AMD, e me pergunto se os lunar lake não vão piorar mais ainda a situação.
Será? Achei Lunar-lake bem descente comparado ao que a Intel lança no Mobile desde Alder-Lake, alem disso Lunar-Lake no geral compete num setor de poder abaixo dos Strix(esses que competem contra Arrow-Lake) atuando mais perto dos M3, então a AMD meio que não tem concorrente direto.
Isso pelos testes da Intel claro.
alem disso Lunar-Lake no geral compete num setor de poder abaixo dos Strix(esses que competem contra Arrow-Lake) atuando mais perto dos M3, então a AMD meio que não tem concorrente direto.
Coolers Thermaltake suportam CPUs Intel e AMD de nova geração.
A Thermaltake, uma marca líder em soluções de hardware premium para PC DIY, tem o prazer de anunciar que um total de 71 produtos de resfriamento são totalmente compatíveis com os mais recentes processadores Intel Arrow Lake-S e AMD Ryzen série 9000. As próximas CPUs Intel de 15ª geração apresentam o novo soquete LGA 1851 e mantêm a compatibilidade com o soquete LGA 1700.
Enquanto isso, a série AMD Ryzen 9000 é continuamente projetada com o soquete AM5. Isso indica que os usuários podem atualizar perfeitamente para as novas CPUs Intel e AMD enquanto combinam com os coolers Thermaltake existentes. Independentemente de coolers a ar, coolers líquidos all-in-one ou water blocks, a Thermaltake oferece uma ampla gama de soluções de resfriamento superiores para esses processadores de última geração.
Lista de suporte de produtos de resfriamento Thermaltake:
Resfriadores de ar
Iluminação ARGB UX100/200
Iluminação UX 210 ARGB
Iluminação UX 200 SE ARGB
Iluminação UX 200 SE ARGB Branco
CABELO DURO 110/310/510
TOUGHAIR 510 Corrida Verde
TOUGHAIR 510 Turquesa
PENTEADO RESISTENTE 710
TOUGHAIR 710 Preto
Gravidade i3
Contato 9 SE
ÁSTRIA 200/400/600
Refrigeradores líquidos tudo-em-um
Sincronização TOUGHLIQUID 240/280/360 ARGB
TOUGHLIQUID 240 ARGB Sync Turquesa
TOUGHLIQUID 240 ARGB Sync Racing Verde
TOUGHLIQUID Ultra 240/280/360/420
TOUGHLIQUID Ultra 280/420 RGB
Sincronização TOUGHLIQUID 240/280/360/420 EX Pro ARGB
Sincronização ARGB TH120/240/360/420
Edição de neve TH120/240/360/420 ARGB Sync
Sincronização ARGB TH120/240/360/420 V2
TH120/240/360/420 V2 ARGB Sync Edição Neve
TH280 V2 ARGB Sync - Verde Matcha
Sincronização TH240/280/360/420 V2 Ultra ARGB
TH240/280/360/420 V2 Ultra ARGB Sync Edição Neve
TH360 V2 Ultra ARGB Sync Hortênsia Azul
Sincronização ARGB TH240/280/360/420 V2 Ultra EX
TH240/280/360/420 V2 Ultra EX ARGB Sync Edição Neve
O Intel Core Ultra 7 265KF será o segundo CPU Arrow Lake mais rápido da linha Core Ultra 200K Desktop. O chip contará com 20 núcleos, 20 threads, clock base de 3,9 GHz e clocks boost de até 5,50 GHz. Ele também carregará 33 MB de cache L3 e contará com um TDP de 125W (PL1). Relatórios recentes sugerem que o chip será fornecido com modos de 177 W (PL2 padrão) e 250 W (PL2 de desempenho) que os usuários poderão definir manualmente. Esta execução de benchmark específica mostra o núcleo aumentando corretamente para suas velocidades máximas, com os P-Cores atingindo 5,5 GHz e os E-Cores atingindo 4,6 GHz.
Core Ultra 7 265K - 20 núcleos / 20 threads / 3,9 Base / 5,5 Boost / 33 MB de cache / 125 W PL1
Em termos de desempenho, o CPU Intel Core Ultra 7 265K obteve 919,1 pontos no teste single-core e 16.274,6 pontos no teste multi-core. Isso coloca o chip 2% à frente do 14700K nos testes single-core e 10% à frente nos testes multi-core. O desempenho single-thread corresponde ao 14900K em seu perfil de “desempenho” e está um pouco à frente do 14900K configurado como linha de base. A CPU é mais rápida do que as CPUs AMD Ryzen 9 9950X e Ryzen 9 7950X no CPU-Z, embora este benchmark específico tenha mostrado melhoria limitada de IPC para os chips Ryzen.
Quanto ao desempenho multithread, a CPU está ao lado do Intel Core i9-14900K na linha de base e também consegue ficar à frente do AMD Ryzen 9 7950X. A diferença de desempenho de 10% em relação ao Core i7-14700K é um pouco baixa, mas podemos esperar números melhores com o silício final.
Fora isso, alguns números interessantes relacionados às temperaturas também são compartilhados com o CPU Intel Core Ultra 7 265K passando pelo teste de tortura do Prime95 enquanto funciona a apenas 75˚C (temperatura idle de 41˚C). Diz-se que a CPU apresenta uma tensão bloqueada de 1,2V.
Também é mencionado que o Core Ultra 5 245K consome cerca de 120-140W no teste de estresse da FPU, enquanto o Core Ultra 7 265K consegue rodar em torno de 170-190W, enquanto o Core Ultra 9 285K roda em torno de 220-240W. O Core Ultra 7 265K também pode executar o Cinebench R23 com temperaturas de apenas 65˚C usando refrigeração líquida, embora não seja mencionado que tipo de refrigeração líquida é usada (customizado ou AIO).
Tudo isso parece ótimo, mas queremos apenas ressaltar que muitos vazamentos relacionados a números de desempenho, potência e temperatura não refletem necessariamente o produto final. Existem muitos parâmetros desconhecidos, por isso é melhor aguardar as análises finais antes de tomar uma decisão de compra. Com isso dito, aguarde mais notícias do Intel Arrow Lake no próximo mês, já que o lançamento está marcado para 17 de outubro .
O Intel Core Ultra 7 265KF será o segundo CPU Arrow Lake mais rápido da linha Core Ultra 200K Desktop. O chip contará com 20 núcleos, 20 threads, clock base de 3,9 GHz e clocks boost de até 5,50 GHz. Ele também carregará 33 MB de cache L3 e contará com um TDP de 125W (PL1). Relatórios recentes sugerem que o chip será fornecido com modos de 177 W (PL2 padrão) e 250 W (PL2 de desempenho) que os usuários poderão definir manualmente. Esta execução de benchmark específica mostra o núcleo aumentando corretamente para suas velocidades máximas, com os P-Cores atingindo 5,5 GHz e os E-Cores atingindo 4,6 GHz.
Core Ultra 7 265K - 20 núcleos / 20 threads / 3,9 Base / 5,5 Boost / 33 MB de cache / 125 W PL1
Em termos de desempenho, o CPU Intel Core Ultra 7 265K obteve 919,1 pontos no teste single-core e 16.274,6 pontos no teste multi-core. Isso coloca o chip 2% à frente do 14700K nos testes single-core e 10% à frente nos testes multi-core. O desempenho single-thread corresponde ao 14900K em seu perfil de “desempenho” e está um pouco à frente do 14900K configurado como linha de base. A CPU é mais rápida do que as CPUs AMD Ryzen 9 9950X e Ryzen 9 7950X no CPU-Z, embora este benchmark específico tenha mostrado melhoria limitada de IPC para os chips Ryzen.
Quanto ao desempenho multithread, a CPU está ao lado do Intel Core i9-14900K na linha de base e também consegue ficar à frente do AMD Ryzen 9 7950X. A diferença de desempenho de 10% em relação ao Core i7-14700K é um pouco baixa, mas podemos esperar números melhores com o silício final.
Fora isso, alguns números interessantes relacionados às temperaturas também são compartilhados com o CPU Intel Core Ultra 7 265K passando pelo teste de tortura do Prime95 enquanto funciona a apenas 75˚C (temperatura idle de 41˚C). Diz-se que a CPU apresenta uma tensão bloqueada de 1,2V.
Também é mencionado que o Core Ultra 5 245K consome cerca de 120-140W no teste de estresse da FPU, enquanto o Core Ultra 7 265K consegue rodar em torno de 170-190W, enquanto o Core Ultra 9 285K roda em torno de 220-240W. O Core Ultra 7 265K também pode executar o Cinebench R23 com temperaturas de apenas 65˚C usando refrigeração líquida, embora não seja mencionado que tipo de refrigeração líquida é usada (customizado ou AIO).
Tudo isso parece ótimo, mas queremos apenas ressaltar que muitos vazamentos relacionados a números de desempenho, potência e temperatura não refletem necessariamente o produto final. Existem muitos parâmetros desconhecidos, por isso é melhor aguardar as análises finais antes de tomar uma decisão de compra. Com isso dito, aguarde mais notícias do Intel Arrow Lake no próximo mês, já que o lançamento está marcado para 17 de outubro .
Só faltam vazamentos em jogos, só falta isso, pois 2% à frente com essa regressão de clock confirma um bom aumento no IPC, mas nenhum testes testes sintéticos mostra o impacto na latência inter-core :v
Só faltam vazamentos em jogos, só falta isso, pois 2% à frente com essa regressão de clock confirma um bom aumento no IPC, mas nenhum testes testes sintéticos mostra o impacto na latência inter-core :v
Como eu tinha dito, o mais importante quando se sai de monolítico para chiplet é latência, e é aqui que quero ver como a Intel vai se virar, se bem que essa imagem não é uma boa premissa. Agora, sabendo disso, resta ver como se sairá nos jogos.
---
Falando na próxima arquitetura, os planos da Intel estão bem nebulosos, primeiro vem a informação do Arrowlake ser apenas 3nm da TSMC (ou seja, a versão em 20A ou foi cancelada ou nunca existiu), e que ela pulou os 20A direto para os 18A (que é um refinamento desses 20A).
Intel's indigenous resources will likely not be able to support the capital expenditure required by its advanced production nodes.
wccftech.com
No caso, a Intel não investirá em nós abaixo dos 3nm, que em sua nova nomenclatura equivaleria a um "Intel 10A". Isso significaria que o "Intel 14A" (previsto para 2026) seria o último nó próprio da Intel, no máximo um refino deste nó (vulgo "Intel 12A") poderá surgir, mas abaixo disso só nas fabs das concorrentes. Se este rumor se confirmar veremos uma outra grande queda nas ações da empresa =X
Intel next-gen Z890 motherboards will ship with "Default Settings" which will be set by default for ease of mind for Arrow Lake PC builders.
wccftech.com
"[...] fontes dos fabricantes de placas mencionaram que desta vez as placas-mãe com chipset Intel Z890 virão com o perfil 'Intel Default Profile' como principal, mas o BIOS permite que os fabricantes de placas-mãe adicionem outras configurações por conta própria, como "Extreme Profile" Para os usuários escolherem." --Benchlife
Intel next-gen Z890 motherboards will ship with "Default Settings" which will be set by default for ease of mind for Arrow Lake PC builders.
wccftech.com
"[...] fontes dos fabricantes de placas mencionaram que desta vez as placas-mãe com chipset Intel Z890 virão com o perfil 'Intel Default Profile' como principal, mas o BIOS permite que os fabricantes de placas-mãe adicionem outras configurações por conta própria, como "Extreme Profile" Para os usuários escolherem." --Benchlife
Latest benchmarks of Intel Core Ultra 5 245K "Arrow Lake" Desktop CPU have leaked, showcasing a nominal performance increase over the 14600K.
wccftech.com
Processador
Configuração
CPU-Z ST
CPU-Z MT
Ganhos/perdas (em relação ao 245K)
Core Ultra 5 245K
6P+8E núcleos, 14 Threads
850
10907
+0% ST, +0% MT
Core i5 14600K
6P+8E núcleos, 20 Threads
873
10330
+3% ST, -6% MT
Core i5 13600K
6P+8E núcleos, 20 Threads
865
10252
+2% ST, -7% MT
Ryzen 5 9600X
6 núcleos, 12 Threads
878
6611
+4% ST, -40% MT
Temperatura e consumo foi dito ser de 160W no máximo, com 65ºC em um water-cooler de 360mm, o que é um resultado bem interessante mas ECSM disse que são valores preliminares e que com certeza vão alterar (para mais ou para menos). Quanto a desempenho, pior que todos os concorrentes em single-core, mas bem melhor em multi, principalmente em relação ao Ryzen.
IPC teórico dos Lion Cove tá excelente. Incrível como a Intel conseguiu manter um front-end capaz de 8-wide decode, em contraste com os dois cluster 4-wide do Zen 5.
Branch predictor ainda tá um pouco atrás dos Zen 5, mas a capacidade de manter instruções no pipeline é impressionante. Único contra é ter um pipeline mais longo.
Intel’s mobile CPUs have undergone massive changes over the past couple generations as Intel defends its laptop market against AMD, Qualcomm, and to a lesser extent Apple. Meteor Lake adopted…
chipsandcheese.com
Pra single thread ele tá brilhando bastante, mas pelas minhas contas de padaria os Zen 5 trabalhando com mais threads vão ter desepenho multithread (em apenas 1 core) melhor.
@Snayperskaya, teria como pinar esse tópico já, ou ainda tá muito cedo?
Resumindo, 15% menos consumo para entregar 2% menos desempenho em jogos, 9% maior IPC nos P-core mas 5% de regressão no clock, 8% melhor em ST mas pior em MT... ou seja, podemos dizer que o Arrowlake é o Raptorlake com menos consumo? Veremos quando lançar oficialmente e tivermos a opinião dos reviewers como será sua recepção, pois como "desculpa" a AMD tinha uma redução quase nula de nó (TSMC N5 -> N4), algo que a Intel não pode alegar (Intel 7 -> TSMC N3B traz um baita ganho de densidade/clock/consumo).
Gigabyte revela suporte para cooler AORUS WATERFORCE AlO com soquete LGA 1851 (Arrow Lake-S) CPU
A GIGABYTE Technology, líder em soluções de resfriamento inovadoras, anuncia com orgulho o lançamento do cooler AORUS WATERFORCE AIO, meticulosamente projetado para atender às exigentes necessidades de resfriamento da CPU Intel Socket LGA 1851. Com mudanças significativas nos locais de hotspot e requisitos térmicos em toda a nova linha de CPU, garantir o desempenho de resfriamento ideal em todas as configurações de modelo tornou-se imperativo. O cooler AORUS WATERFORCE AIO foi projetado com três recursos principais que aumentam significativamente a eficiência de resfriamento para CPU Socket LGA 1851.
Design de pressão de montagem ideal
Em alinhamento com o Guia de design térmico Intel Socket LGA 1851 (Arrow Lake-S), o novo soquete LGA 1851 requer maior pressão de montagem para desempenho térmico ideal. O cooler AORUS WATERFORCE série X II e não X II fornece pressão de montagem máxima, garantindo um encaixe firme que melhora a transferência de calor da CPU para o cooler.
Lista de modelos
AORUS WATERFORCE X II 360 GELO
AORUS WATERFORCE X II 360
AORUS WATERFORCE X II 240
AORUS WATERFORCE II 360 GELO
AORUS WATERFORCE II 360
AORUS WATERFORCE II 240
Recurso térmico otimizado para CPU Arrow-Lake-S
AORUS WATERFORCE X II 360 GELO
AORUS WATERFORCE X II 360
AORUS WATERFORCE X II 240
Grande área de superfície de resfriamento de base de cobre:
A série AORUS WATERFORCE X II ostenta uma área de superfície de resfriamento 15% maior em comparação aos coolers padrão.
Esta base de cobre expansiva é projetada especificamente para cobrir e dissipar calor de forma eficiente dos vários pontos quentes de diferentes modelos de CPU Socket LGA 1851 (Arrow Lake-S). Ao contrário de outros coolers líquidos, a base de cobre maior garante gerenciamento de temperatura consistente e desempenho de resfriamento superior, independentemente da orientação da instalação.
Tecnologia de resfriamento de água de fluxo reverso:
A série AORUS WATERFORCE X II emprega um design de resfriamento de fluxo reverso exclusivo, que extrai ativamente o refrigerante aquecido em vez de apenas pressurizar o refrigerante frio. Este mecanismo inovador acelera a dissipação de calor aumentando as taxas de fluxo em três ciclos adicionais por minuto em comparação aos coolers líquidos padrão, resultando em uma queda significativa na temperatura da CPU. Testes extensivos confirmam que a série AORUS WATERFORCE X II garante desempenho estável para CPU Socket LGA 1851 (Arrow-Lake-S), mesmo sob cargas pesadas.
Lista de modelos com suporte para soquete LGA 1851
AORUS WATERFORCE X II 360 GELO
AORUS WATERFORCE X II 360
AORUS WATERFORCE X II 240
AORUS WATERFORCE II 360 GELO
AORUS WATERFORCE II 360
AORUS WATERFORCE II 240
AORUS WATERFORCE X 360
AORUS WATERFORCE X 280
AORUS WATERFORCE X 240
FORÇA AQUÁTICA AORUS 360
FORÇA AQUÁTICA AORUS 280
Força Aquática AORUS 240
Com o cooler da série AORUS WATERFORCE X II, os usuários podem esperar um resfriamento eficiente e desempenho confiável, adaptados para a linha de CPU Socket LGA 1851 de ponta. A AORUS continua comprometida em introduzir mais recursos avançados no futuro, reforçando nossa dedicação em fornecer soluções de resfriamento de primeira linha
Chegou o Arrow Lake-S, com até 24 núcleos, 125 W e novo soquete
A Intel apresenta oficialmente a nova série para PCs de mesa.
A série Core Ultra 200 é uma atualização para sistemas de desktop, com foco em eficiência de energia. Ela apresenta arquiteturas de núcleo Performance e Efficient mais novas, novos gráficos e apresenta a primeira NPU (Neural Processing Unit) de desktop. Há também um novo esquema de nomenclatura para a série.
Hoje, a Intel está apresentando o Core Ultra 200S, onde “S” significa Arrow Lake-S. A primeira onda de CPUs consiste em SKUs desbloqueados com um TDP nominal de 125 W. Essas são CPUs entusiastas voltadas para jogadores.
O destaque é o Core Ultra 9 285K, o novo SKU principal com 24 núcleos no total (8 núcleos de desempenho e 16 núcleos eficientes). Esses são os novos núcleos Lion Cove e Skymont. Esta CPU tem um clock de boost de 5,7 GHz e vem com 4 núcleos de GPU Xe. O Ultra 7 265K tem 20 núcleos (8 núcleos de desempenho e 12 núcleos eficientes) com um boost de até 5,5 GHz. O Core Ultra 5 245 de 14 núcleos oferece 6 núcleos de desempenho e 8 núcleos eficientes, com um boost de até 5,2 GHz. As variantes 265KF e 245KF não têm gráficos Xe integrados, e não há nenhuma versão 285KF anunciada hoje. Todos os SKUs Arrow Lake-S apresentam uma NPU capaz de 13 TOPS (Int8), que é 3,7x mais lenta do que a NPU na série Lunar Lake.
Os núcleos Lion Cove Performance têm 18 portas de execução e até 36 MB de cache L3. Espera-se que eles forneçam 9% mais IPC (Instruções por Clock) em comparação com o Raptor Cove na série Core de 14ª geração. O Efficient Core, chamado Skymont , tem o dobro da largura de banda do cache L2 e 4 MB de cache L2 em comparação com seu antecessor. Ele fornece um IPC 32% maior para operações inteiras e até 72% em operações de ponto flutuante em comparação com o Gracemont.
A configuração do cache foi atualizada, com o cache L2 nos núcleos de desempenho aumentado de 2 MB para 3 MB.
Intel Arrow Lake-S é a primeira plataforma de desktop com a arquitetura Xe-Cores (Xe-LP) e usa software e drivers Arc. Ele oferece até 4 Xe-Cores e até 4 Ray Tracing Units. A GPU fornece 8 TOPS para inferência de IA. Ele suporta DirectX 12 Ultimate, mas espera-se que seja muito lento para jogos exigentes. Ele inclui o Xe Media Engine, então ele retém os mesmos recursos de codificação do Alchemist (codificação AV1/AVC/HEVC, etc.). Da mesma forma, ele também suporta instruções DP4a, permitindo o upscaling XeSS.
A Intel está fazendo várias alegações sobre o consumo de energia. A CPU 285K supostamente requer até 58% menos energia para tarefas de produtividade em comparação com o Raptor Lake-R, com base em testes em quatro aplicações. Para jogos, há uma média geométrica de -73 W em comparação com o 14900K ao usar um perfil de linha de base. Menor consumo de energia significa temperaturas mais baixas, e a Intel afirma que a nova série é em média 13 °C mais fria do que sua antecessora ao usar um cooler AIO de 360 mm.
Como mencionado, o Arrow Lake-S é o primeiro produto de CPU de desktop a apresentar o novo esquema de nomenclatura. Originalmente, a Intel planejou lançar o Meteor Lake-S como a série Core Ultra 100S, mas esses planos foram descartados. A nomenclatura do chipset continua a mesma, agora transferida para a série 800.
Hoje, a Intel também está introduzindo o chipset Z890, a opção de ponta para placas-mãe premium com preço de US$ 200 ou mais. Essas placas-mãe apresentam o novo soquete LGA-1851, compatível apenas com Core Ultra 200. Infelizmente, nenhum slide menciona compatibilidade futura, então não está claro se os chipsets da série 800 suportarão mais de uma geração de CPU.
A atualização mais importante é o suporte para 20 pistas PCIe 5.0, o que significa que os gráficos de última geração com o padrão PCIe 5.0 não serão mais limitados a 8 pistas se usados junto com SSDs M.2 5.0.
Agora, para preços — o detalhe mais importante. Como esperado pelos vazamentos, a nova série terá preços iguais ou menores em comparação ao Core Raptor Lake-S Refresh de 14ª geração anterior. O SKU principal 285K tem preço de US$ 589, enquanto o 265K será vendido por US$ 394. Os usuários também podem optar pelo 265KF, que não tem a GPU Arc integrada, com preço de US$ 379. O 245K de 14 núcleos será vendido por US$ 309, com a versão sem iGPU disponível por US$ 294.
A nova série requer novas placas-mãe, então o custo da nova plataforma será de pelo menos US$ 200 mais a CPU. Além disso, se você ainda estiver usando memória DDR4, precisará fazer um upgrade, pois a série Z890 não suporta a tecnologia DDR4, ao contrário da série Z790.
A Intel confirma que a série Core Ultra 200S será lançada em 24 de outubro .
Finalmente lançado, agora com slides oficiais dá para o @brender colocar no primeiro post e o @Snayperskaya ou @neo666 (não sei quem é que faz isso) pode pinnar como fixo (suponho). Quanto ao que esperar, bem, aguardando reviews por terceiros mas só de ver que a própria Intel disse que perde em jogos da geração anterior por 2% e da AMD por 4%, sei que boa coisa não vem (mas em aplicações profissionais ai é outra história, principalmente no consumo, mas veremos como o mercado vai responder a esse lançamento).
Finalmente lançado, agora com slides oficiais dá para o @brender colocar no primeiro post e o @Snayperskaya ou @neo666 (não sei quem é que faz isso) pode pinnar como fixo (suponho). Quanto ao que esperar, bem, aguardando reviews por terceiros mas só de ver que a própria Intel disse que perde em jogos da geração anterior por 2% e da AMD por 4%, sei que boa coisa não vem (mas em aplicações profissionais ai é outra história, principalmente no consumo, mas veremos como o mercado vai responder a esse lançamento).
ENERMAX anuncia suporte a coolers de CPU para o soquete Intel LGA1851 de nova geração
A ENERMAX, uma força líder do setor dedicada a projetar hardware de computador de alto desempenho e soluções de resfriamento, anunciou que sua linha de produtos de cooler para CPU agora suporta o soquete de processador Intel de nova geração, LGA1851. A nova geração de CPUs Intel utiliza o soquete LGA1851, que é compatível com os coolers de CPU LGA1700 existentes. Portanto, os usuários com coolers de CPU ENERMAX podem atualizar diretamente para a plataforma Intel de nova geração.
A ENERMAX fornece uma gama abrangente de soluções de resfriamento de CPU com uma potência máxima de design térmico (TDP) de até 420 W, liberando com sucesso o desempenho da CPU e permitindo recursos de processamento rápido durante multitarefas.
Aqui está a lista completa de coolers de ar e líquido para CPU da Enermax que suportarão o novo soquete Intel LGA1851.
A Intel sabe que está um pouco atrás do resto da indústria quando se trata de processos de fabricação e, no segundo semestre de 2024, pretende ter o Intel 20A disponível e em produção para seus processadores Arrow Lake. Isso também estreará o PowerVia e o RIbbonFET da empresa, onde RibbonFET é simplesmente outro nome (dado pela Intel) para um Gate All Around Field-Effect Transistor, ou GAAFET. A TSMC está migrando para o GAAFET para seu nó N2 de 2 nm, enquanto a Samsung está migrando para ele com seu nó de processo 3GAE de 3 nm.
Intel 18A
Olhando para o futuro
O 18A da Intel é de longe o nó mais avançado sobre o qual se tem que falar, e está programado para começar a ser fabricado na segunda metade de 2024. Ele será usado para produzir uma futura CPU Lake para o consumidor e uma futura CPU para data center, com um aumento de até 10% no desempenho por watt. Não há muitos detalhes que foram compartilhados neste momento sobre ele, e ele dobra para baixo no RibbonFET e no PowerVia. Tudo o que sabemos é que o Panther Lake está programado para estrear com este nó de processo, apresentando Cougar Cove P-Cores.
A única coisa que mudou desde que este nó foi revelado pela primeira vez é que ele deveria inicialmente usar litografia EUV High-NA, embora esse não seja mais o caso. Parte do motivo para isso é que o nó 18A da Intel está sendo lançado um pouco antes do que o inicialmente previsto, com a empresa o adiando para o final de 2024 em vez de 2025. Com a ASML, a empresa holandesa que produz máquinas de litografia EUV, ainda enviando seu primeiro scanner High-NA (o Twinscan EXE:5200) em 2025, isso significava que a Intel teria que ignorá-lo para 2024. Para qualquer coisa EUV, as empresas têm que ir para a ASML, a propósito, então não há alternativa.
A Intel ainda está no caminho certo para começar a produzir o 18A no segundo semestre de 2024,
O roteiro da Intel é ambicioso, mas até agora a empresa está cumprindo-o
Agora que você entende o roteiro da Intel para este e o próximo ano, seria correto dizer que ele é absolutamente ambicioso. A própria Intel anuncia como "cinco nós em quatro anos", pois eles sabem o quão impressionante isso é. Embora você possa esperar que haja soluços ao longo do caminho, a única mudança desde que a Intel revelou este plano pela primeira vez em 2021 foi antecipar o lançamento do Intel 18A para um lançamento ainda mais cedo. É isso. Todo o resto permaneceu o mesmo.
Desde então, a empresa anunciou que lançará o 18A-P e, mais tarde, o Intel 14A e 14A-E. Neste caso, P significa Performance improvement, e E significa feature extension. Eles estão olhando para o futuro distante, até 2027, mas mostram que a Intel tem grandes planos não apenas para alcançar, mas para dominar o resto da competição.
Se a Intel manterá suas adições progressivas no futuro ainda não se sabe, mas é um bom presságio que a única mudança que a empresa teve que fazer foi fazer seu lançamento de nó mais avançado ainda mais cedo do que o previsto. Embora não esteja claro se a Intel ainda será uma concorrente formidável para a TSMC e a Samsung quando se trata de seus processos mais avançados (especialmente quando atingir o RibbonFET), certamente estamos esperançosos. O Meteor Lake foi um bom começo, e mal podemos esperar para ver o que mais a Intel tem reservado.
Foundry Cost Wall, Whale Customers, Datacenter Share, The Money Problem Before Pat Gelsinger took over Intel as CEO, the company spent over a decade in a slow descent due to a focus on financial en…
www.semianalysis.com
Avaliação da própria Intel sobre seu processo de liderança e atraso, Fonte: Intel Foundry Investor Update 2023
Para ser claro, a TSMC ainda tem uma tremenda vantagem aqui, mas a Intel está se concentrando em fechar a lacuna. Um exemplo fácil é que a TSMC tinha pelo menos 7 empresas gravando 224G SerDes em seus 3nm, (Broadcom, Marvell, Nvidia, MediaTek, Alphawave, Synopsys e Cadence), enquanto que temos conhecimento de apenas 1 para o 18A da Intel.
A Parede de Custos da Fundição
O principal motivo pelo qual a Intel precisa de fundição é o volume. Os custos dos transistores continuam a aumentar com as reduções. A Intel admite que na 2ª metade da década de 2010 eles tiveram uma escala de custo mínima, mas com o rápido progresso para 7, 4/3 e 20/18A, eles trarão de volta a escala econômica.
O aspecto enganoso deste gráfico são os volumes. Algumas pessoas afirmam que a escala de custo do transistor parou em 28 nm, mas isso está errado. Os custos de design aumentaram enormemente com os nós FinFET . Os custos fixos novamente aumentaram significativamente com EUV, transistores gate all around, fornecimento de energia na parte traseira e EUV High-NA.
Pat Gelsinger, current chief executive officer at Intel and formerly the head of its Data Center Group as well as its chief technology officer, famously
Na terça-feira, a Intel forneceu uma atualização sobre o progresso do seu processo de fabricação 18A (classe 1.8nm), uma tecnologia crucial para sua iniciativa de foundry. Até agora, a empresa possui um kit de design de processo (PDK) versão 1.0 pronto, para que seus clientes terceirizados...
noticias.goig.com.br
Choque das Fundições: Gate All Around + Backside Power a 2 nm
Custo de fabricação, dimensionamento de SRAM, implicações de WFE, detalhes de energia traseira, TSMC, Samsung, Intel, Rapidus
Fab Cost, SRAM Scaling, WFE Implications, Backside Power Details, TSMC, Samsung, Intel, Rapidus TSMC won FinFET. All noteworthy leading edge logic designs, even Intel’s, are manufactured on TS…
www.semianalysis.com
A Intel desmoronou com seu nó de 10 nm e perdeu sua liderança de 3 anos por uma série de razões, incluindo não adotar EUV e fazer a transição para metalização de cobalto com uma cadeia de suprimentos de ferramentas imatura, apesar dos avisos da Applied Material de que suas ferramentas não estavam prontas. Os novos paradigmas de GAA e BSPDN apresentam novas oportunidades na hierarquia de fundição. Eles podem até mesmo abrir a porta para um novo participante no espaço – Rapidus, a startup de fundição de 2 nm apoiada pelo governo japonês.
À medida que os gastos de capital necessários para construir fábricas de ponta disparam, isso significa que a Samsung ou a Intel podem ser forçadas a abandonar a corrida.
Noções básicas da rede de distribuição de energia de retaguarda (BSPDN)
Junto com os transistores gate all around, o BSPDN é a outra inovação fundamental nas tecnologias de processo lógico de próxima geração.
Circuitos de escala significam que tanto os transistores quanto as interconexões devem encolher. No passado, isso era quase uma reflexão tardia, mas escalar interconexões se tornou mais difícil do que escalar transistores. Por exemplo, a maioria da litografia EUV é realmente usada em interconexões (contatos, vias e camadas de metal), não na camada do transistor em si. Junto com a diminuição das dimensões físicas dos próprios fios, mais transistores em um chip significam mais interconexões. Isso impulsionou um crescimento constante no número de camadas de interconexão necessárias. Mais camadas significam maiores custos de fabricação, dificuldade no design de roteamento e menor desempenho à medida que os caminhos do sinal ficam mais longos.
Fonte: Intel IEDM 2023
Isso não significa que a indústria parou de progredir. Inovações de materiais, co-otimização de tecnologia de design (DTCO) e litografia EUV impulsionaram o dimensionamento de interconexões para os nós de processo atuais. Mas, à medida que esse manual se torna cada vez mais caro e os limites continuam diminuindo. O cálculo da implementação do BSPDN está começando a fazer sentido. Não é uma ideia nova, apenas uma cuja hora chegou. E já era hora de inovação em interconexões, já faz quase 30 anos desde a última evolução das interconexões, que foi a mudança do alumínio para o cobre em 1997.
Fonte: Intel, SemiAnalysis
A ideia central do BSPDN é mover a fiação de energia para a parte traseira do wafer. Ele abre espaço para o roteamento de sinais, que ficam na parte frontal, e energia que é movida para a parte traseira.
No total, o BSPDN oferece aproximadamente 15-20% de melhoria no uso de energia em comparação a um processo similar somente de frontside em projetos de alto desempenho.
PowerVia
PowerVia é a solução de energia traseira da Intel. Ela melhora o BPR de duas maneiras principais:
Os trilhos de energia são movidos para a parte traseira do wafer, evitando o risco de contaminação do BPR.
Melhor dimensionamento de célula, pois o roteamento de energia é eliminado do frontside do wafer.
O PowerVia se conecta ao lado do contato do transistor, evitando qualquer roteamento de energia no frontside. Fonte: Intel
O PowerVia é uma evolução inteligente do conceito BPR. Durante o processamento front-end, o PowerVia ignora o trilho de energia completamente. Além de evitar o risco de contaminação de depósito de metal antes dos transistores, ele também elimina uma etapa de processo de alinhamento crítico dispendiosa (alinhamento do BPR aos canais do transistor). Em escala giga-fab, uma única camada crítica como essa pode custar centenas de milhões de dólares em ferramentas.
A Intel já está aumentando seu nó GAA + BSPDN, 18A. O processo 20A anterior foi abandonado recentemente, mas por razões financeiras, não técnicas. Com o relatório recente de que a densidade de defeitos 18A está no caminho certo, parece que a tecnologia de processo é talvez a única coisa que está indo bem na empresa agora.
Roteiros: Rapidus, Samsung, Intel, TSMC
O rolo compressor da TSMC, uma Intel competitiva em processos, mas com dificuldades financeiras, e a Samsung, que consegue reunir o foco e os recursos financeiros de todo o seu chaebol (e país)?
A Intel será a primeira a comercializar com um processo GAA + BSPDN. Fonte: Intel
Notavelmente, a Intel está usando um esquema PowerVia para energia traseira. Como detalhamos acima, isso deve ser um pouco mais fácil de fabricar, mas oferece menos benefícios de escala em relação aos contatos traseiros diretos.
Dimensionamento SRAM: batendo em um cavalo morto
Fonte: TSMC, Intel, Samsung
A verdadeira introdução em alto volume do GAA ocorrerá em 2025 para todas as três grandes fundições, com a Rapidus seguindo em 2027. A Intel será a primeira por um ano ou mais com o BSPDN, mas com uma densidade mais próxima dos processos de 3 nm, apesar do nome 18A.
Recently, IC design company Marvell announced an expansion of its long-term partnership with TSMC to include 2-nanometer technology. They will collabo...
www.trendforce.com
Intel
De acordo com os planos anunciados anteriormente pela Intel, a empresa pretende alcançar e superar a TSMC até 2024 ou 2025. Na conferência “Direct Connect” deste ano, organizada pela Intel Foundry Services, a empresa revelou seu mais recente roteiro tecnológico.
A Intel informou que seu principal produto , o Clearwater Forest, que está sob o processo 18A, foi concluído e está programado para entrar em produção em 2025. O processo 18A da Intel é frequentemente comparado aos processos N2 (2 nanômetros) e N3P (3 nanômetros) da TSMC em termos de desempenho, com cada empresa defendendo suas próprias vantagens.
O CEO da Intel, Pat Gelsinger, enfatiza que tanto o 18A quanto o N2 utilizam transistores GAA (RibbonFET), mas o nó de 1,8 nanômetro adotará o BSPND, uma tecnologia de fornecimento de energia traseira que otimiza a energia e o clock. A TSMC, por outro lado, acredita que sua tecnologia N3P (3 nanômetros) rivalizará com o 18A da Intel em consumo de energia, desempenho e área (PPA), enquanto seu N2 (2 nanômetros) o superará em todos os aspectos.
Enquanto isso, o nó de produção 18A da Intel visa refinar ainda mais as inovações do 20A e fornecer melhorias adicionais de PPA do final de 2024 ao início de 2025. De acordo com as declarações da Intel sobre seus processos de fabricação, espera-se que sua tecnologia de 2 nanômetros seja a primeira a estrear.
Em particular, a Intel anunciou pela primeira vez na conferência o desenvolvimento do 14A (1,4 nm) e sua versão evolucionária, 14A-E. O processo 14A da Intel é o primeiro nó do setor a utilizar ferramentas de litografia EUV ASML High-NA, tornando a Intel a primeira empresa do setor a adquirir ferramentas High-NA de ponta. A Intel espera desenvolver o 14A até 2027.
Intel is in deep trouble, the 18a process is broken, and it is all down hill from here, right?
www.semiaccurate.com
O conteúdo protegido por paywall basicamente diz que ele confirmou que não há nada de errado com o 18A e que é um golpe da Broadcom e de outras empresas que tentam ganhar fatias do negócio por pouco dinheiro.
Eles dizem que é ruído corporativo em vez de técnico. A Broadcomm é a causa por trás dos rumores e que é para derrubar o preço das ações da Intel para que Hoc Tan possa fazer seu ato de "aquisição, corte em pedaços e espremer lucro". Com todos os dados que eles perseguiram, eles acreditam que a Intel não está mentindo sobre o 18A estar no caminho certo. Eles acreditam que a declaração 0.5D0 dos CEOs da Intel na conferência financeira do Deutsche Bank indica que o 18A está no caminho certo.
Roteiro de processos da Intel até 2025: Intel 7, 4, 3, 20A e 18A explicado
A Intel sabe que está um pouco atrás do resto da indústria quando se trata de processos de fabricação e, no segundo semestre de 2024, pretende ter o Intel 20A disponível e em produção para seus processadores Arrow Lake. Isso também estreará o PowerVia e o RIbbonFET da empresa, onde RibbonFET é simplesmente outro nome (dado pela Intel) para um Gate All Around Field-Effect Transistor, ou GAAFET. A TSMC está migrando para o GAAFET para seu nó N2 de 2 nm, enquanto a Samsung está migrando para ele com seu nó de processo 3GAE de 3 nm.
Intel 18A
Olhando para o futuro
O 18A da Intel é de longe o nó mais avançado sobre o qual se tem que falar, e está programado para começar a ser fabricado na segunda metade de 2024. Ele será usado para produzir uma futura CPU Lake para o consumidor e uma futura CPU para data center, com um aumento de até 10% no desempenho por watt. Não há muitos detalhes que foram compartilhados neste momento sobre ele, e ele dobra para baixo no RibbonFET e no PowerVia. Tudo o que sabemos é que o Panther Lake está programado para estrear com este nó de processo, apresentando Cougar Cove P-Cores.
A única coisa que mudou desde que este nó foi revelado pela primeira vez é que ele deveria inicialmente usar litografia EUV High-NA, embora esse não seja mais o caso. Parte do motivo para isso é que o nó 18A da Intel está sendo lançado um pouco antes do que o inicialmente previsto, com a empresa o adiando para o final de 2024 em vez de 2025. Com a ASML, a empresa holandesa que produz máquinas de litografia EUV, ainda enviando seu primeiro scanner High-NA (o Twinscan EXE:5200) em 2025, isso significava que a Intel teria que ignorá-lo para 2024. Para qualquer coisa EUV, as empresas têm que ir para a ASML, a propósito, então não há alternativa.
A Intel ainda está no caminho certo para começar a produzir o 18A no segundo semestre de 2024,
O roteiro da Intel é ambicioso, mas até agora a empresa está cumprindo-o
Agora que você entende o roteiro da Intel para este e o próximo ano, seria correto dizer que ele é absolutamente ambicioso. A própria Intel anuncia como "cinco nós em quatro anos", pois eles sabem o quão impressionante isso é. Embora você possa esperar que haja soluços ao longo do caminho, a única mudança desde que a Intel revelou este plano pela primeira vez em 2021 foi antecipar o lançamento do Intel 18A para um lançamento ainda mais cedo. É isso. Todo o resto permaneceu o mesmo.
Desde então, a empresa anunciou que lançará o 18A-P e, mais tarde, o Intel 14A e 14A-E. Neste caso, P significa Performance improvement, e E significa feature extension. Eles estão olhando para o futuro distante, até 2027, mas mostram que a Intel tem grandes planos não apenas para alcançar, mas para dominar o resto da competição.
Se a Intel manterá suas adições progressivas no futuro ainda não se sabe, mas é um bom presságio que a única mudança que a empresa teve que fazer foi fazer seu lançamento de nó mais avançado ainda mais cedo do que o previsto. Embora não esteja claro se a Intel ainda será uma concorrente formidável para a TSMC e a Samsung quando se trata de seus processos mais avançados (especialmente quando atingir o RibbonFET), certamente estamos esperançosos. O Meteor Lake foi um bom começo, e mal podemos esperar para ver o que mais a Intel tem reservado.
Foundry Cost Wall, Whale Customers, Datacenter Share, The Money Problem Before Pat Gelsinger took over Intel as CEO, the company spent over a decade in a slow descent due to a focus on financial en…
www.semianalysis.com
Avaliação da própria Intel sobre seu processo de liderança e atraso, Fonte: Intel Foundry Investor Update 2023
Para ser claro, a TSMC ainda tem uma tremenda vantagem aqui, mas a Intel está se concentrando em fechar a lacuna. Um exemplo fácil é que a TSMC tinha pelo menos 7 empresas gravando 224G SerDes em seus 3nm, (Broadcom, Marvell, Nvidia, MediaTek, Alphawave, Synopsys e Cadence), enquanto que temos conhecimento de apenas 1 para o 18A da Intel.
A Parede de Custos da Fundição
O principal motivo pelo qual a Intel precisa de fundição é o volume. Os custos dos transistores continuam a aumentar com as reduções. A Intel admite que na 2ª metade da década de 2010 eles tiveram uma escala de custo mínima, mas com o rápido progresso para 7, 4/3 e 20/18A, eles trarão de volta a escala econômica.
O aspecto enganoso deste gráfico são os volumes. Algumas pessoas afirmam que a escala de custo do transistor parou em 28 nm, mas isso está errado. Os custos de design aumentaram enormemente com os nós FinFET . Os custos fixos novamente aumentaram significativamente com EUV, transistores gate all around, fornecimento de energia na parte traseira e EUV High-NA.
Fonte: Intel
A Intel diz que precisa de US$ 25 bilhões a US$ 30 bilhões de capex por 10.000 wafers por semana para suas novas fábricas. A TSMC declarou que precisa de cerca de US$ 42 bilhões de capex por 10.000 wafers para seus 3 nm no Arizona, mesmo após os " excessos de custo ". Não temos certeza de qual é o delta para a Intel versus a TSMC nesses números, pois os números da TSMC incluem preparação do local, shell e ferramentas. Independentemente disso, levando os números da Intel ao pé da letra, este é um muro enorme, já que a Intel sempre precisa de mais de 150.000 wafers por mês de capacidade na vanguarda para permanecer competitiva em volumes com a TSMC.
Eles não têm isso hoje, mas precisam, ou o negócio é insustentável e morto. Hoje, eles não podem se dar ao luxo de construir essas fábricas com seu próprio fluxo de caixa. Vamos falar sobre como a TSMC pode fazer isso de forma sustentável e o que a Intel precisa fazer para replicar seu sucesso.
Motor de fluxo de caixa TSMC
A TSMC é enormemente lucrativa e dominante, especialmente em lógica de ponta. Em fundição pura, a Samsung tem sido uma concorrente distante em segundo lugar (note que até mesmo a Intel está descontando a Samsung como um verdadeiro player em fundição lógica) e a Intel é um trabalho em andamento tentando chegar lá. Apesar disso, na verdade são os nós maduros da TSMC que são mais lucrativos tanto em lucro contábil quanto em base de caixa.
Para a fabricação de semicondutores, o maior custo individual é o equipamento.
O equipamento de fabricação de wafers é a maior parte das despesas de capital de uma fábrica, com o restante sendo capital gasto em salas limpas. No P&L da empresa de fundição, esses custos de capital são contabilizados como depreciação. Das finanças da TSMC, as despesas de depreciação historicamente giram em torno de 25-30% da receita e geralmente mais de 50% do COGS da TSMC (a maior parte, mas não toda essa depreciação fluirá pelo COGS).
Com base na política contábil da TSMC, o equipamento é depreciado ao longo de uma vida útil de 5 anos. No entanto, isso não reflete a realidade econômica. As ferramentas duram muito tempo, a grande maioria (>90%) de todas as ferramentas de produção de semicondutores que foram enviadas desde 1990 ainda estão sendo usadas no campo hoje. Equipamentos com mais de 5 anos terão quase zero custo de depreciação associado a eles (exceto por atualizações e manutenção em alguns casos).
Para os nós da TSMC onde a maior parte da capacidade tem mais de 5 anos, o grande item de custo de depreciação não se aplicará, tornando-os muito lucrativos em termos de margem bruta. Além disso, esses nós exigem pouco ou nenhum investimento em dinheiro, que então financiam expansões caras de capacidade de ponta. A capacidade de ponta tem margens mais baixas e fluxo de caixa negativo até vários anos após a rampa.
A falta disso na Intel?
Como IDM, o portfólio de produtos pesados em CPU da Intel significava que seu foco de fabricação estava em processos de ponta. A Intel não manteve esses nós "para sempre" (embora por um tempo parecesse que a Intel 14nm seria um nó para sempre, mas não por escolha) porque seu único cliente (a própria Intel) tinha pouca necessidade deles. Consequentemente, a Intel não tinha esse pool cada vez maior de capacidade de wafer madura que era capaz de gerar fluxo de caixa aparentemente para sempre.
Requisitos de capital – de onde vêm
Construir mais de 150.000 wafers por mês de capacidade de ponta requer muito investimento – um dos principais motivos é que as fábricas devem ser construídas e equipadas com ferramentas antes que a maioria dos clientes pague pelos wafers (embora haja alguns clientes benevolentes que estão fazendo pequenos pré-pagamentos parciais). Na Intel, tudo isso está acontecendo em um momento em que a lucratividade do negócio principal é a mais fraca de todos os tempos, já que a Intel está sendo esmagada pela concorrência. Em 2023, a Intel como empresa estava basicamente no ponto de equilíbrio no lucro operacional em comparação a anos desfrutando de margens operacionais de dois dígitos.
Isso mesmo depois que a Intel usou truques contábeis como estender os períodos de depreciação de equipamentos de 5 para 8 anos. Adicione os ~$20 bilhões adicionais de despesas de capital anuais para aumentar todos os novos nós e fábricas e o FCF ficou negativo nos últimos 2 anos. Para tapar o buraco de financiamento, a Intel teve que contar com uma ampla variedade de fontes de capital para financiar tudo: financiamento de dívida tradicional, apoio governamental e esquemas de engenharia financeira ainda mais criativos, como o acordo de fabricação da Brookfield, para encontrar uma maneira de pagar por tudo.
Fonte: Estimativas SemiAnalysis
Além do formidável apoio governamental, há muitas alavancas para puxar, desde medidas simples como utilizar espaço adicional para levantar mais dívida até acordos de coinvestimento mais complicados com parceiros financeiros como o Brookfield Arizona Deal. A Intel não pode apenas olhar para o coinvestimento para futuras fábricas – talvez em Ohio – mas também pode considerar a venda de ativos e arrendamentos de volta em seu portfólio de fábricas e outras instalações.
No pior cenário, a Intel pode contar com o governo dos EUA para obter mais ajuda, pois a capacidade da Intel é vital para o objetivo de onshoring de semicondutores.
Embora a Intel tenha ~$120B de capital para explorar, para atingir nossa meta sustentável de mais de 150.000 wafers por mês, eles podem precisar de mais do que isso. Não temos certeza de onde eles podem obter esse dinheiro. A tecnologia de processo que acreditamos está lá. Não temos certeza de quem está disposto a pagar o restante desses custos, pois mais financiamento provavelmente seria uma pílula de veneno.
Uma alavanca interessante que Pat já está tentando puxar é que fundições ruins devem ser avaliadas em 2x o valor contábil. Talvez isso signifique que a Intel poderia recorrer aos mercados de capital para tapar a lacuna de capital.
A segunda metade deste relatório vai mergulhar no grupo de produtos e segmentos da Intel. Também discutiremos quem acreditamos ser os vencedores do negócio de fundição de wafer e embalagem e em quais chips. Também vamos mergulhar na competitividade da AMD.
Pat Gelsinger, current chief executive officer at Intel and formerly the head of its Data Center Group as well as its chief technology officer, famously
Na terça-feira, a Intel forneceu uma atualização sobre o progresso do seu processo de fabricação 18A (classe 1.8nm), uma tecnologia crucial para sua iniciativa de foundry. Até agora, a empresa possui um kit de design de processo (PDK) versão 1.0 pronto, para que seus clientes terceirizados...
The biggest benefit that is coming from the separation of the Intel chip design and marketing business from its foundry operations is that Intel’s chip
www.nextplatform.com
Sabíamos que as fábricas da Intel não estavam operando nem perto do pico de utilização, com os negócios de PC e servidor levando uma surra nos últimos anos após vendas massivas durante a pandemia do coronavírus. Mas não tínhamos uma noção concreta de quão submersa estava a coisa agora conhecida como Intel Foundry. Bem, com o novo detalhamento financeiro fornecido por Gelsinger e pelo diretor financeiro Dave Zinsner, conseguimos ver a Intel Foundry em sua totalidade, com todos os defeitos.
A Intel apenas reformulou as finanças da empresa para os anos civis de 2021, 2022 e 2023 e não forneceu um detalhamento trimestral durante esse período. Gostaríamos muito de ver os números da Intel Foundry de volta à Grande Recessão, ou mesmo a 2015, quando a empresa fez um esforço concentrado para fornecer componentes de pilha completa para sistemas HPC, exceto memória principal. Isso nunca vai acontecer.
Parte da confusão é culpa da própria Intel e parte dela é a indústria mudando de volta para um ambiente de computação mais competitivo ao mesmo tempo em que a Intel encalhou com seus processos de 10 nanômetros, 7 nanômetros e 5 nanômetros. Você não pode estragar três nós de processo principais e não ter consequências – particularmente quando seu antigo quase monopólio em CPUs está sob ataque e a computação de GPU assume quase metade das receitas de servidores e todos esses concorrentes estão usando um freddy TSMC muito bem-sucedido e estável como seu parceiro de fundição.
Nos últimos cinco anos, a participação da Intel no mercado de CPU caiu de 97% ou mais para 75% ou mais, dependendo do trimestre. A Intel está mantendo terreno nos últimos trimestres, mas está fazendo isso em desvantagem no processo de fabricação de chips – ainda. E não parece que vai melhorar muito em 2024, mas a Intel pode começar a cair ainda mais em 2025.
Mas, olhando para o futuro, a Intel acha que pode gerar mais de US$ 15 bilhões em receita anual para clientes externos de fundição até 2030, o que é um negócio bem ruim. Os grupos de produtos da Intel vão gerar pelo menos US$ 20 bilhões por ano, achamos, embora a Intel não tenha feito nenhuma previsão aqui, e se sua posição competitiva melhorar nos principais mercados de mecanismos de computação, pode ser de até US$ 25 bilhões por ano em receitas para a Intel Foundry. Seja generoso e digamos que a Intel pode ter um negócio de fundição de US$ 40 bilhões que seja decentemente lucrativo até 2030.
Então, Gelsinger pode se tornar presidente, encontrar um novo diretor executivo e respirar um pouco. Mas 2030 está muito longe, e é melhor Gelsinger tomar suas vitaminas e continuar correndo.
A TSMC terá um negócio de IA maior que toda a Intel Foundry
Everyone is in a big hurry to get the latest and greatest GPU accelerators to build generative AI platforms. Those who can’t get GPUs, or have custom
www.nextplatform.com
Aqui está o ponto. Se as coisas progredirem mais ou menos como achamos que irão neste modelo – que assumiu nenhuma superabundância no mercado de chips de TI e nenhuma grande recessão ou guerra mundial – então, até 2030, a TSMC poderia ter algo como US$ 180 bilhões em receitas e cerca de US$ 46 bilhões disso poderiam vir de chips de IA.
E isso significa que a Intel pode de fato ser a segunda maior fundição atrás da TSMC, mas estará muito, muito atrás dela – tipo, por um fator de 4,5X. E a parte de treinamento e inferência de IA da TSMC do negócio de fundição de chips será um pouco maior do que todo o negócio de fundição da Intel.
Intel atinge o fundo do poço no data center – talvez
It would be hard to pick a worse time to not have an XPU offload engine that can do lots of matrix math at mixed precision and that can ship in volume.
www.nextplatform.com
A incapacidade da Intel de obter processos de 10 nanômetros, 7 nanômetros e 5 nanômetros no campo quase ao mesmo tempo que a TSMC a prejudicou com seus designs de produtos e deixou a porta aberta para a AMD e o coletivo Arm entrarem no datacenter. A falta de uma alternativa de GPU para a Nvidia também prejudicou a Intel e a indústria. Imagine um mundo onde a Nvidia tivesse que abrir seu software e especificações de interconexão porque os usuários poderiam exigir isso?
Intel’s second quarter is pretty much a carbon copy of the first three months of 2024 when it comes to revenues across its newly constituted groups, and
www.nextplatform.com
Este renascimento da Intel é mais como uma empresa se lembrando do que aprendeu a fazer em meados da década de 1980: projetar bons chips e fabricar bons chips, e ser paranoica o suficiente para sobreviver. (Andy Grove estava certo sobre "apenas os paranoicos sobrevivem".) É difícil ser paranoica, da maneira certa, quando você é podre de rica com muito pouca concorrência, como a Intel era durante a década de 2010.
E como a Nvidia está fazendo hoje, a Intel tinha hegemonia completa sobre a computação de datacenter e domínio sobre a computação de desktop e laptop, e foi capaz de extrair e manter a maioria dos lucros do ecossistema construído em torno de seus mecanismos de computação. Que isso seja um aviso: a Intel plantou as sementes de sua própria experiência de quase morte, como as empresas sempre fazem. É uma empresa sábia que permite que seus parceiros façam algum lucro com seus enormes esforços para colocar seus produtos em campo.
Mas também achamos que o mercado X86 vai declinar à medida que a Arm cresce na hiperescala e nos construtores de nuvem, e a Intel não só sabe disso, mas aceitou isso anos atrás e é por isso que ela deve ter um negócio de fundição aberto. Se você não pode vencer as CPUs Arm, você tem que fazer CPUs Arm.
A Intel saiu do trimestre com US$ 11,3 bilhões em dinheiro e um pouco menos de US$ 18 bilhões em investimentos de curto prazo. O que é capital suficiente para talvez uma fábrica e meia hoje em dia. Em outras palavras, não muito se você está tentando ser a segunda maior fundição do mundo e competir com a TSMC.
Se o governo dos EUA não tivesse dado à Intel US$ 8,5 bilhões em dinheiro e incentivos como parte do CHIPS Act e se não tivesse conseguido que a Apollo Global Management investisse em suas fábricas na Irlanda e a Brookfield Asset Management investisse em suas fábricas no Arizona, a empresa teria que queimar esse fundo de investimento por dinheiro. E, dado para onde a Intel pode estar indo, a empresa pode ter que fazer isso de qualquer maneira.
Embora as CPUs de servidor Xeon 6 “Sierra Forest” existentes e as futuras “Granite Rapids” ajudem, a AMD tem suas CPUs de servidor “Turin” Zen 5 Epyc logo ali na esquina, e todos os hyperscalers e construtores de nuvem têm ou terão CPUs de servidor Arm personalizadas. A torta não é tão grande para a Intel como costumava ser – e nunca mais será.
Choque das Fundições: Gate All Around + Backside Power a 2 nm
Custo de fabricação, dimensionamento de SRAM, implicações de WFE, detalhes de energia traseira, TSMC, Samsung, Intel, Rapidus
Fab Cost, SRAM Scaling, WFE Implications, Backside Power Details, TSMC, Samsung, Intel, Rapidus TSMC won FinFET. All noteworthy leading edge logic designs, even Intel’s, are manufactured on TS…
www.semianalysis.com
A Intel desmoronou com seu nó de 10 nm e perdeu sua liderança de 3 anos por uma série de razões, incluindo não adotar EUV e fazer a transição para metalização de cobalto com uma cadeia de suprimentos de ferramentas imatura, apesar dos avisos da Applied Material de que suas ferramentas não estavam prontas. Os novos paradigmas de GAA e BSPDN apresentam novas oportunidades na hierarquia de fundição. Eles podem até mesmo abrir a porta para um novo participante no espaço – Rapidus, a startup de fundição de 2 nm apoiada pelo governo japonês.
À medida que os gastos de capital necessários para construir fábricas de ponta disparam, isso significa que a Samsung ou a Intel podem ser forçadas a abandonar a corrida.
Noções básicas da rede de distribuição de energia de retaguarda (BSPDN)
Junto com os transistores gate all around, o BSPDN é a outra inovação fundamental nas tecnologias de processo lógico de próxima geração.
Circuitos de escala significam que tanto os transistores quanto as interconexões devem encolher. No passado, isso era quase uma reflexão tardia, mas escalar interconexões se tornou mais difícil do que escalar transistores. Por exemplo, a maioria da litografia EUV é realmente usada em interconexões (contatos, vias e camadas de metal), não na camada do transistor em si. Junto com a diminuição das dimensões físicas dos próprios fios, mais transistores em um chip significam mais interconexões. Isso impulsionou um crescimento constante no número de camadas de interconexão necessárias. Mais camadas significam maiores custos de fabricação, dificuldade no design de roteamento e menor desempenho à medida que os caminhos do sinal ficam mais longos.
Fonte: Intel IEDM 2023
Isso não significa que a indústria parou de progredir. Inovações de materiais, co-otimização de tecnologia de design (DTCO) e litografia EUV impulsionaram o dimensionamento de interconexões para os nós de processo atuais. Mas, à medida que esse manual se torna cada vez mais caro e os limites continuam diminuindo. O cálculo da implementação do BSPDN está começando a fazer sentido. Não é uma ideia nova, apenas uma cuja hora chegou. E já era hora de inovação em interconexões, já faz quase 30 anos desde a última evolução das interconexões, que foi a mudança do alumínio para o cobre em 1997.
Fonte: Intel, SemiAnalysis
A ideia central do BSPDN é mover a fiação de energia para a parte traseira do wafer. Ele abre espaço para o roteamento de sinais, que ficam na parte frontal, e energia que é movida para a parte traseira.
No total, o BSPDN oferece aproximadamente 15-20% de melhoria no uso de energia em comparação a um processo similar somente de frontside em projetos de alto desempenho.
PowerVia
PowerVia é a solução de energia traseira da Intel. Ela melhora o BPR de duas maneiras principais:
Os trilhos de energia são movidos para a parte traseira do wafer, evitando o risco de contaminação do BPR.
Melhor dimensionamento de célula, pois o roteamento de energia é eliminado do frontside do wafer.
O PowerVia se conecta ao lado do contato do transistor, evitando qualquer roteamento de energia no frontside. Fonte: Intel
O PowerVia é uma evolução inteligente do conceito BPR. Durante o processamento front-end, o PowerVia ignora o trilho de energia completamente. Além de evitar o risco de contaminação de depósito de metal antes dos transistores, ele também elimina uma etapa de processo de alinhamento crítico dispendiosa (alinhamento do BPR aos canais do transistor). Em escala giga-fab, uma única camada crítica como essa pode custar centenas de milhões de dólares em ferramentas.
A Intel já está aumentando seu nó GAA + BSPDN, 18A. O processo 20A anterior foi abandonado recentemente, mas por razões financeiras, não técnicas. Com o relatório recente de que a densidade de defeitos 18A está no caminho certo, parece que a tecnologia de processo é talvez a única coisa que está indo bem na empresa agora.
Roteiros: Rapidus, Samsung, Intel, TSMC
O rolo compressor da TSMC, uma Intel competitiva em processos, mas com dificuldades financeiras, e a Samsung, que consegue reunir o foco e os recursos financeiros de todo o seu chaebol (e país)?
A Intel será a primeira a comercializar com um processo GAA + BSPDN. Fonte: Intel
Notavelmente, a Intel está usando um esquema PowerVia para energia traseira. Como detalhamos acima, isso deve ser um pouco mais fácil de fabricar, mas oferece menos benefícios de escala em relação aos contatos traseiros diretos.
Dimensionamento SRAM: batendo em um cavalo morto
Fonte: TSMC, Intel, Samsung
A verdadeira introdução em alto volume do GAA ocorrerá em 2025 para todas as três grandes fundições, com a Rapidus seguindo em 2027. A Intel será a primeira por um ano ou mais com o BSPDN, mas com uma densidade mais próxima dos processos de 3 nm, apesar do nome 18A.
Obrigado por corrigir o bug que tinha tido antes, mas tem como dar uma limpada no conteúdo em si?
Tem muito conteúdo velho aí (só a última parte falando sobre o cancelamento do 20A), e ficou muito poluído com muita coisa jogada sem coesão.
The biggest benefit that is coming from the separation of the Intel chip design and marketing business from its foundry operations is that Intel’s chip
www.nextplatform.com
Sabíamos que as fábricas da Intel não estavam operando nem perto do pico de utilização, com os negócios de PC e servidor levando uma surra nos últimos anos após vendas massivas durante a pandemia do coronavírus. Mas não tínhamos uma noção concreta de quão submersa estava a coisa agora conhecida como Intel Foundry. Bem, com o novo detalhamento financeiro fornecido por Gelsinger e pelo diretor financeiro Dave Zinsner, conseguimos ver a Intel Foundry em sua totalidade, com todos os defeitos.
A Intel apenas reformulou as finanças da empresa para os anos civis de 2021, 2022 e 2023 e não forneceu um detalhamento trimestral durante esse período. Gostaríamos muito de ver os números da Intel Foundry de volta à Grande Recessão, ou mesmo a 2015, quando a empresa fez um esforço concentrado para fornecer componentes de pilha completa para sistemas HPC, exceto memória principal. Isso nunca vai acontecer.
Parte da confusão é culpa da própria Intel e parte dela é a indústria mudando de volta para um ambiente de computação mais competitivo ao mesmo tempo em que a Intel encalhou com seus processos de 10 nanômetros, 7 nanômetros e 5 nanômetros. Você não pode estragar três nós de processo principais e não ter consequências – particularmente quando seu antigo quase monopólio em CPUs está sob ataque e a computação de GPU assume quase metade das receitas de servidores e todos esses concorrentes estão usando um freddy TSMC muito bem-sucedido e estável como seu parceiro de fundição.
Nos últimos cinco anos, a participação da Intel no mercado de CPU caiu de 97% ou mais para 75% ou mais, dependendo do trimestre. A Intel está mantendo terreno nos últimos trimestres, mas está fazendo isso em desvantagem no processo de fabricação de chips – ainda. E não parece que vai melhorar muito em 2024, mas a Intel pode começar a cair ainda mais em 2025.
Mas, olhando para o futuro, a Intel acha que pode gerar mais de US$ 15 bilhões em receita anual para clientes externos de fundição até 2030, o que é um negócio bem ruim. Os grupos de produtos da Intel vão gerar pelo menos US$ 20 bilhões por ano, achamos, embora a Intel não tenha feito nenhuma previsão aqui, e se sua posição competitiva melhorar nos principais mercados de mecanismos de computação, pode ser de até US$ 25 bilhões por ano em receitas para a Intel Foundry. Seja generoso e digamos que a Intel pode ter um negócio de fundição de US$ 40 bilhões que seja decentemente lucrativo até 2030.
Então, Gelsinger pode se tornar presidente, encontrar um novo diretor executivo e respirar um pouco. Mas 2030 está muito longe, e é melhor Gelsinger tomar suas vitaminas e continuar correndo.
A TSMC terá um negócio de IA maior que toda a Intel Foundry
Everyone is in a big hurry to get the latest and greatest GPU accelerators to build generative AI platforms. Those who can’t get GPUs, or have custom
www.nextplatform.com
Aqui está o ponto. Se as coisas progredirem mais ou menos como achamos que irão neste modelo – que assumiu nenhuma superabundância no mercado de chips de TI e nenhuma grande recessão ou guerra mundial – então, até 2030, a TSMC poderia ter algo como US$ 180 bilhões em receitas e cerca de US$ 46 bilhões disso poderiam vir de chips de IA.
E isso significa que a Intel pode de fato ser a segunda maior fundição atrás da TSMC, mas estará muito, muito atrás dela – tipo, por um fator de 4,5X. E a parte de treinamento e inferência de IA da TSMC do negócio de fundição de chips será um pouco maior do que todo o negócio de fundição da Intel.
Intel atinge o fundo do poço no data center – talvez
It would be hard to pick a worse time to not have an XPU offload engine that can do lots of matrix math at mixed precision and that can ship in volume.
www.nextplatform.com
A incapacidade da Intel de obter processos de 10 nanômetros, 7 nanômetros e 5 nanômetros no campo quase ao mesmo tempo que a TSMC a prejudicou com seus designs de produtos e deixou a porta aberta para a AMD e o coletivo Arm entrarem no datacenter. A falta de uma alternativa de GPU para a Nvidia também prejudicou a Intel e a indústria. Imagine um mundo onde a Nvidia tivesse que abrir seu software e especificações de interconexão porque os usuários poderiam exigir isso?
Intel’s second quarter is pretty much a carbon copy of the first three months of 2024 when it comes to revenues across its newly constituted groups, and
www.nextplatform.com
Este renascimento da Intel é mais como uma empresa se lembrando do que aprendeu a fazer em meados da década de 1980: projetar bons chips e fabricar bons chips, e ser paranoica o suficiente para sobreviver. (Andy Grove estava certo sobre "apenas os paranoicos sobrevivem".) É difícil ser paranoica, da maneira certa, quando você é podre de rica com muito pouca concorrência, como a Intel era durante a década de 2010.
E como a Nvidia está fazendo hoje, a Intel tinha hegemonia completa sobre a computação de datacenter e domínio sobre a computação de desktop e laptop, e foi capaz de extrair e manter a maioria dos lucros do ecossistema construído em torno de seus mecanismos de computação. Que isso seja um aviso: a Intel plantou as sementes de sua própria experiência de quase morte, como as empresas sempre fazem. É uma empresa sábia que permite que seus parceiros façam algum lucro com seus enormes esforços para colocar seus produtos em campo.
Mas também achamos que o mercado X86 vai declinar à medida que a Arm cresce na hiperescala e nos construtores de nuvem, e a Intel não só sabe disso, mas aceitou isso anos atrás e é por isso que ela deve ter um negócio de fundição aberto. Se você não pode vencer as CPUs Arm, você tem que fazer CPUs Arm.
A Intel saiu do trimestre com US$ 11,3 bilhões em dinheiro e um pouco menos de US$ 18 bilhões em investimentos de curto prazo. O que é capital suficiente para talvez uma fábrica e meia hoje em dia. Em outras palavras, não muito se você está tentando ser a segunda maior fundição do mundo e competir com a TSMC.
Se o governo dos EUA não tivesse dado à Intel US$ 8,5 bilhões em dinheiro e incentivos como parte do CHIPS Act e se não tivesse conseguido que a Apollo Global Management investisse em suas fábricas na Irlanda e a Brookfield Asset Management investisse em suas fábricas no Arizona, a empresa teria que queimar esse fundo de investimento por dinheiro. E, dado para onde a Intel pode estar indo, a empresa pode ter que fazer isso de qualquer maneira.
Embora as CPUs de servidor Xeon 6 “Sierra Forest” existentes e as futuras “Granite Rapids” ajudem, a AMD tem suas CPUs de servidor “Turin” Zen 5 Epyc logo ali na esquina, e todos os hyperscalers e construtores de nuvem têm ou terão CPUs de servidor Arm personalizadas. A torta não é tão grande para a Intel como costumava ser – e nunca mais será.
Foundry Cost Wall, Whale Customers, Datacenter Share, The Money Problem Before Pat Gelsinger took over Intel as CEO, the company spent over a decade in a slow descent due to a focus on financial en…
www.semianalysis.com
A Intel diz que precisa de US$ 25 bilhões a US$ 30 bilhões de capex por 10.000 wafers por semana para suas novas fábricas. A TSMC declarou que precisa de cerca de US$ 42 bilhões de capex por 10.000 wafers para seus 3 nm no Arizona, mesmo após os " excessos de custo ". Não temos certeza de qual é o delta para a Intel versus a TSMC nesses números, pois os números da TSMC incluem preparação do local, shell e ferramentas. Independentemente disso, levando os números da Intel ao pé da letra, este é um muro enorme, já que a Intel sempre precisa de mais de 150.000 wafers por mês de capacidade na vanguarda para permanecer competitiva em volumes com a TSMC.
Eles não têm isso hoje, mas precisam, ou o negócio é insustentável e morto. Hoje, eles não podem se dar ao luxo de construir essas fábricas com seu próprio fluxo de caixa. Vamos falar sobre como a TSMC pode fazer isso de forma sustentável e o que a Intel precisa fazer para replicar seu sucesso.
Motor de fluxo de caixa TSMC
A TSMC é enormemente lucrativa e dominante, especialmente em lógica de ponta. Em fundição pura, a Samsung tem sido uma concorrente distante em segundo lugar (note que até mesmo a Intel está descontando a Samsung como um verdadeiro player em fundição lógica) e a Intel é um trabalho em andamento tentando chegar lá. Apesar disso, na verdade são os nós maduros da TSMC que são mais lucrativos tanto em lucro contábil quanto em base de caixa.
Para a fabricação de semicondutores, o maior custo individual é o equipamento.
O equipamento de fabricação de wafers é a maior parte das despesas de capital de uma fábrica, com o restante sendo capital gasto em salas limpas. No P&L da empresa de fundição, esses custos de capital são contabilizados como depreciação. Das finanças da TSMC, as despesas de depreciação historicamente giram em torno de 25-30% da receita e geralmente mais de 50% do COGS da TSMC (a maior parte, mas não toda essa depreciação fluirá pelo COGS).
Com base na política contábil da TSMC, o equipamento é depreciado ao longo de uma vida útil de 5 anos. No entanto, isso não reflete a realidade econômica. As ferramentas duram muito tempo, a grande maioria (>90%) de todas as ferramentas de produção de semicondutores que foram enviadas desde 1990 ainda estão sendo usadas no campo hoje. Equipamentos com mais de 5 anos terão quase zero custo de depreciação associado a eles (exceto por atualizações e manutenção em alguns casos).
Para os nós da TSMC onde a maior parte da capacidade tem mais de 5 anos, o grande item de custo de depreciação não se aplicará, tornando-os muito lucrativos em termos de margem bruta. Além disso, esses nós exigem pouco ou nenhum investimento em dinheiro, que então financiam expansões caras de capacidade de ponta. A capacidade de ponta tem margens mais baixas e fluxo de caixa negativo até vários anos após a rampa.
A falta disso na Intel?
Como IDM, o portfólio de produtos pesados em CPU da Intel significava que seu foco de fabricação estava em processos de ponta. A Intel não manteve esses nós "para sempre" (embora por um tempo parecesse que a Intel 14nm seria um nó para sempre, mas não por escolha) porque seu único cliente (a própria Intel) tinha pouca necessidade deles. Consequentemente, a Intel não tinha esse pool cada vez maior de capacidade de wafer madura que era capaz de gerar fluxo de caixa aparentemente para sempre.
Requisitos de capital – de onde vêm
Construir mais de 150.000 wafers por mês de capacidade de ponta requer muito investimento – um dos principais motivos é que as fábricas devem ser construídas e equipadas com ferramentas antes que a maioria dos clientes pague pelos wafers (embora haja alguns clientes benevolentes que estão fazendo pequenos pré-pagamentos parciais). Na Intel, tudo isso está acontecendo em um momento em que a lucratividade do negócio principal é a mais fraca de todos os tempos, já que a Intel está sendo esmagada pela concorrência. Em 2023, a Intel como empresa estava basicamente no ponto de equilíbrio no lucro operacional em comparação a anos desfrutando de margens operacionais de dois dígitos.
Isso mesmo depois que a Intel usou truques contábeis como estender os períodos de depreciação de equipamentos de 5 para 8 anos. Adicione os ~$20 bilhões adicionais de despesas de capital anuais para aumentar todos os novos nós e fábricas e o FCF ficou negativo nos últimos 2 anos. Para tapar o buraco de financiamento, a Intel teve que contar com uma ampla variedade de fontes de capital para financiar tudo: financiamento de dívida tradicional, apoio governamental e esquemas de engenharia financeira ainda mais criativos, como o acordo de fabricação da Brookfield, para encontrar uma maneira de pagar por tudo.
Fonte: Estimativas SemiAnalysis
Além do formidável apoio governamental, há muitas alavancas para puxar, desde medidas simples como utilizar espaço adicional para levantar mais dívida até acordos de coinvestimento mais complicados com parceiros financeiros como o Brookfield Arizona Deal. A Intel não pode apenas olhar para o coinvestimento para futuras fábricas – talvez em Ohio – mas também pode considerar a venda de ativos e arrendamentos de volta em seu portfólio de fábricas e outras instalações.
No pior cenário, a Intel pode contar com o governo dos EUA para obter mais ajuda, pois a capacidade da Intel é vital para o objetivo de onshoring de semicondutores.
Embora a Intel tenha ~$120B de capital para explorar, para atingir nossa meta sustentável de mais de 150.000 wafers por mês, eles podem precisar de mais do que isso. Não temos certeza de onde eles podem obter esse dinheiro. A tecnologia de processo que acreditamos está lá. Não temos certeza de quem está disposto a pagar o restante desses custos, pois mais financiamento provavelmente seria uma pílula de veneno.
A maior parte do nosso valor contábil líquido é obviamente nosso PP e E [propriedade e equipamento da planta] e a maior parte disso aparece no lado da fundição. Então eu diria que dentro de alguns anos, isso é algo como $ 100.000.000.000 de valor contábil líquido para apenas a fundição da Intel. E eu acho que sua pergunta aqui pode ser, bem, como você vê isso em termos de avaliação? E mesmo as piores fundições estão obtendo 2 vezes o valor contábil líquido e a melhor, é claro, está obtendo 5 mais o valor contábil líquido. Então é daí que achamos que muito do desbloqueio de valor no negócio virá, é que o valor contábil líquido gera um bom retorno.
Uma alavanca interessante que Pat já está tentando puxar é que fundições ruins devem ser avaliadas em 2x o valor contábil. Talvez isso signifique que a Intel poderia recorrer aos mercados de capital para tapar a lacuna de capital.
A segunda metade deste relatório vai mergulhar no grupo de produtos e segmentos da Intel. Também discutiremos quem acreditamos ser os vencedores do negócio de fundição de wafer e embalagem e em quais chips. Também vamos mergulhar na competitividade da AMD.
O que realmente está acontecendo com o processo 18a da Intel?
Análise: Dizem que os problemas são abundantes, mas eles são técnicos?
Intel is in deep trouble, the 18a process is broken, and it is all down hill from here, right?
www.semiaccurate.com
O conteúdo protegido por paywall basicamente diz que ele confirmou que não há nada de errado com o 18A e que é um golpe da Broadcom e de outras empresas que tentam ganhar fatias do negócio por pouco dinheiro.
Eles dizem que é ruído corporativo em vez de técnico. A Broadcomm é a causa por trás dos rumores e que é para derrubar o preço das ações da Intel para que Hoc Tan possa fazer seu ato de "aquisição, corte em pedaços e espremer lucro". Com todos os dados que eles perseguiram, eles acreditam que a Intel não está mentindo sobre o 18A estar no caminho certo. Eles acreditam que a declaração 0.5D0 dos CEOs da Intel na conferência financeira do Deutsche Bank indica que o 18A está no caminho certo.